欢迎访问深圳市中小企业公共服务平台电子信息窗口
2nm的竞争:台积电守,英特尔攻
2024-02-01 来源:Ai芯天下
567

关键词: 芯片 ARM 光刻机

台积电不再遥遥领先


台积电凭借晶体管缩小技术始终保持行业领先地位,从180nm到3nm,历经20年,成功击败了99%的竞争对手。


特别是在28nm工艺节点之后,通过FinFET技术逐步拉开与竞争对手的差距,14nm以下市场基本处于垄断状态。


然而,即便如此,台积电仍需面对摩尔定律衰减导致的晶体管微型化难题。


在此背景下,全周围栅极(GAA)技术应运而生,为制程突破提供了技术可行性。


但值得注意的是,随着制程复杂度提升和成本激增,芯片制造商在推进技术创新的同时,需兼顾成本和可行性。因此,先进封装技术成为代工厂的另一核心竞争力。


不幸的是,台积电的两大竞争对手三星和英特尔均掌握了这两大关键技术路径。


undefined


错失太多机会的英特尔


英特尔在芯片制造方面相较台积电处于劣势,导致其无法及时推出7纳米乃至5纳米芯片,从而使得产品性能及效率逊色于竞争对手。


英特尔曾试图采购EUV光刻机,然而受限于ASML产能有限以及台积电的优先发货权,使得英特尔难以获得足够数量的EUV光刻机,不得不耐心等待。


另一方面,英特尔在芯片设计领域亦面临激烈竞争,主要对手为基于ARM架构的芯片,如苹果M1芯片、华为麒麟芯片等。


这些芯片在节能性能上优于英特尔芯片,更符合移动设备和云计算需求,从而导致英特尔芯片在市场份额及影响力上的下滑。


undefined


英特尔拿起High-NA EUV[冲锋号]


近日,英特尔已成功引入市场首套0.55数值孔径的ASML极紫外光刻机,计划在未来两至三年内用于其英特尔 18A工艺技术之后的制程节点。


与此同时,台积电则采取了更为审慎的策略,业界推测台积电可能会在A1.4制程或2030年之后才引入High-NA EUV光刻机。


据先前的报道,ASML将于2024年生产最多10台新一代高NA EUV光刻机,其中英特尔预定了多达6台。


这一决策表明英特尔在High-NA EUV技术方面的决心和领先地位。


业界分析指出,初期阶段,High-NA EUV的成本可能高于Low-NA EUV,这是台积电暂时观望的主要原因。


台积电更倾向于采用成本较低的成熟技术,以确保产品的市场竞争力。


然而,High-NA EUV技术的优势在于其能够提供更高的产能和更精细的曝光尺寸。


尽管High-NA EUV需要更高的光源功率,并可能加速投影光学器件和光罩的磨损,但英特尔的这一技术突破将为其带来显著的优势。


英特尔此次试图通过高数值孔径EUV技术实现弯道超车,这与三星2017年率先采用EUV光刻机、试图超越台积电7nm工艺的策略相似。


根据英特尔的最新公告,采用英特尔18A工艺制造的芯片预计将在2024年第一季度问世,首批量产产品将于同年下半年上市。


相比之下,台积电的N2工艺要到2025年下半年才实现量产。


从时间上来看,英特尔将领先竞争对手一年。


英特尔作为第一家使用高数值孔径工具进行大规模生产的公司,将引领晶圆厂工具生态系统的发展方向。


undefined


求稳的台积电首次使用GAA


台积电计划在2nm制程节点采用GAAFET晶体管,并在2026年发布的N2P工艺中引入Nanosheet GAA晶体管,同时搭载背面电源轨技术。制造过程仍依赖于现有的EUV光刻技术。


台积电在2nm制程中首次应用GAAFET技术,该技术与3nm和5nm制程所采用的鳍式场效晶体管(FinFET)架构有所不同。


GAAFET架构以环绕闸极(GAA)制程为基础,能够解决FinFET因制程微缩导致的电流控制漏电等物理极限问题。


台积电被视为一个保守但稳健的制程技术开发者,倾向于在确保新技术成熟和可靠后进行部署,而非急于将新技术推向市场。


这种方法有助于降低技术失败风险,提高芯片产量和质量,确保客户满意度。


台积电谨慎的方法确保了制程技术的稳定性和可预测性,为客户提供高质量芯片。


此次采用GAA技术无疑是经过充分准备和规划,有望使2nm世代见证台积电新一轮的发展壮大。


事实证明,台积电稳健的策略似乎对一切已有充分把握,并在EUV技术达到适当生产成本后,能从ASML手中获得所需的EUV设备数量。


ASML高数值孔径EUV光刻机的采购及生产成本过高,并不利于台积电第一时间引入该技术以提升优势。


同时,台积电与ASML几乎同步开发高数值孔径EUV技术,对设备的掌握度高,因此并不急于采购。但对于英特尔而言,这是不得不做出的选择。


当前,英特尔采取的策略明智,一方面全力投入2nm节点所需的高数值孔径EUV技术,另一方面在3nm等先进制程大量下单台积电,形成进可攻退可守的姿态。


如果2nm技术能比台积电更快推出且具备更好的良率,英特尔将延续传统荣耀,利用先进制造工艺在产品上击败竞争对手。


明年英特尔剥离代工制造业务仅是开始,无论2nm技术投入成败,最终都将成为宝贵资产。


undefined


将决定英特尔未来代工走向


英特尔在2纳米技术领域的先发制人,不仅旨在在后发先至的战略中占据优势,更是决定了其未来代工业务走向的关键因素。


2纳米技术的量产对英特尔的未来发展具有决定性的影响,也是其对台积电发起进攻的成功与否的关键节点。


若英特尔在2纳米节点上率先取得优势,能比台积电更快速提升良品率,将成为首家采用高数值孔径EDU并启动大规模生产的公司。


这有望获得部分客户的认可和订单,进而推动其IDM2.0战略的顺利推进,有望在未来的代工市场中超越三星,迈向新的巅峰。


undefined


想赶超就要面临竞争风险


然而,英特尔当前面临的挑战依然艰巨。一方面,高数值孔径EUV的成本持续高企,试产的高数值孔径EUV光刻机的造价成本更是超过3亿美元。


另一方面,高数值孔径EUV设备本身还存在诸多难题,如光源的可支持光子散粒噪声、0.55NA小焦点深度的解决方案、计算光刻能力、掩膜制造和计算基础设施,这些都需要英特尔投入大量时间和精力去不断优化。


虽然工艺领先至关重要,但在代工行业,客户支持同样不可或缺。若英特尔能在合理时间内完成BPD版本,并吸引更多客户,这将成为其新的代工收入来源。


然而,在与业界巨头如台积电的竞争中,英特尔需要关注大量客户芯片的生产,这将是其持续成功的关键。


undefined


结尾:


尽管台积电在NA EUV光刻机方面遭遇失利,但这并不意味着其丧失了光刻机领域的优势。


事实上,台积电依然具备众多优势,能够巩固其在半导体产业的领先地位。


尽管英特尔已率先下单,但要真正迎头赶上并超越台积电,仍需付出更多的努力和时间。


这两家公司的竞争将更加白热化,同时也会推动半导体产业的繁荣与发展。