欢迎访问深圳市中小企业公共服务平台电子信息窗口
2nm工艺还未量产就在争抢客户,还有一决胜因素无法忽略
2023-08-07 来源:贤集网
390

关键词: 台积电 三星 英特尔

从三强争霸到四雄逐鹿,2nm的厮杀声已然隐约传来。

无论是老牌劲旅台积电、三星,还是誓言重回先进制程领先地位的英特尔,甚至初成立不久的新贵日本Rapidus,都将目光锁定在了2025年,豪言实现2nm首发。

看起来,即将到来的2025年不仅是2nm制程的关键一年,更将是代工格局迎来重塑的拐点。只不过,谁能折桂这一荣耀?



新老玩家积极找客户

2nm先进制程方面,台积电与三星两大龙头代工企业不约而同敲定2025年量产2nm,新晋“玩家”Rapidus则计划2027年量产2nm。

尽管距离量产时间尚早,但未雨绸缪,近期Rapidus被报道正为2nm寻找目标客户。

Rapidus执行长小池淳义日前接受《日经新闻》采访时表示,正在寻找美国客户,与苹果、Google、Facebook、亚马逊和微软等国际公司讨论。

报道指出,Rapidus想要争取苹果、谷歌、Meta等公司的订单,因为这些高科技产业公司热衷人工智能和高性能运算定制化芯片,这将是未来Rapidus 2nm芯片的机会。

重拾晶圆代工业务之后,英特尔在该领域动作频频。近期,英特尔执行长Pat Gelsinger在财报电话会议表示,Intel 3工艺已于第二季达成缺陷密度(defect density)与效能(performance)里程碑,并释出1.1版制程设计套件(PDK),预计将如期达成总体良率、效能目标。

资料显示,缺陷密度指的是制程中非预期因素,例如刮痕、光阻覆盖不全等,对芯片质量产生的负面影响区域,而制程的良率与缺陷密度相关,通常晶圆厂会提供客户一个D0值(平均缺陷密度),用来代表良率水平,数值越低,代表越好。

英特尔将在2024年上半年陆续发布采取3纳米制程的Sierra Forest、Granite Rapids服务器处理器。目前来看,Intel 3工艺可能不会应用于消费级产品,它更多针对数据中心产品优化。

先进制程规划方面,英特尔曾在2022年末透露,未来几年内投产包括Intel 4、Intel 3、Intel 20A、Intel 18A等在内的先进工艺。



2nm成“逆风翻盘”的关键?

无论是三星还是英特尔,均将2nm工艺视为其超越竞争对手并重返先进制程领先地位的关键。

三星半导体业务总裁Kyung Kye-hyun于近日公开表示,在4nm节点三星落后台积电2年时间,3nm节点大约落后1年,但是三星的2nm工艺得到了客户的认可,客户对三星的GAA晶体管技术很满意,几乎所有大公司都在与三星合作。因此Kyung Kye-hyun认为,在2nm工艺上,三星将超越台积电成为客户首选。

而英特尔也在此前制定了4年5个节点的目标,并公开表示2025年重返产业巅峰,而近期英特尔公开18A工艺的量产时间是在2024年年底,可见英特尔也将18A工艺视为其在2025年重返产业巅峰的关键制程。

为何三星和英特尔均将2nm视为超越台积电的关键制程?其自信来自于GAA晶体管技术的使用。

据了解,无论是三星还是英特尔,在搭载GAA架构的2nm芯片量产之前,都在相近制程搭载GAA架构的芯片进行“试水”。例如,三星在3nm制程中首次采用GAA架构;而英特尔会在20A制程率先采用RibbonFET架构(相当于GAA架构)。而对于台积电而言,2nm是其首次从FinFET转至GAA,在架构迁移上相当于“落后”了三星足足三年。

此外,首次搭载GAA架构的芯片往往会因为新技术不够成熟而出现种种问题,例如,尽管三星的GAA架构曾在其存储芯片领域有一些技术积累,但首次采用GAA架构的三星3nm工艺也只有10%~20%左右的良率。经过改良后,三星搭载GAA架构的3nm的良率已达到60%~70%左右。可以看出,三星在GAA用于先进制程方面,已经有了率先量产、率先磨合的先发优势。

相比之下,在GAA工艺架构方面,台积电还没有“火力全开”。当台积电采用GAA工艺架构之时,三星与英特尔在GAA架构方面的技术已经相对完善。这也使得业内有声音认为,台积电更换了GAA工艺架构后的2nm芯片,会走三星的“老路”,有良率“翻车”的风险。


先进封装的X因素

看起来2nm是工艺的决战,但其实先进封装的重要性已然不可忽视。

先进封装与制程工艺可谓相辅相成,其在提高芯片集成度、加强互联、性能优化的过程中扮演了重要角色,成为助力系统性能持续提升的重要保障。为在工艺节点获得更大的赢面,押注先进封装已成为三大巨头的“显性”选择。



近些年来,英特尔、三星和台积电一直在稳步投资先进封装技术,各自表现也可圈可点。

综合来看,在先进封装领域,台积电的领先地位依旧凸显。据了解,台积电在先进封装上已获得了可观的收入体量,技术布局也进入关键节点,未来投入规模将持续加码。尤其是在AI产能需求持续升级之下,台积电正积极扩充第六代2.5D先进封装技术CoWoS产能,将投资约28亿美元打造先进封装厂,预计2026年底建厂完成、2027年第三季开始量产,月产能达11万片12英寸晶圆,涵盖SoIC、InFO以及CoWoS等先进封装技术。

半导体知名专家莫大康就表示,台积电在CoWoS的产能大增,将十分有利于其争取2nm讨单。而时刻保持“两手抓”,也让台积电的护城河愈加深厚。

英特尔也不逞多让。通过多年技术探索,相继推出了EMIB、Foveros和Co-EMIB等多种先进封装技术,在互连密度、功率效率和可扩展性三个方面持续精进。在今年5月,英特尔发布了先进封装技术蓝图,计划将传统基板转为更为先进的玻璃材质基板,以实现新的超越。而且,英特尔也在布局硅光模块中的CPO(共封装光学)技术,以优化算力成本。在先进封装领域,英特尔或可与台积电同台竞技。

三星自然也紧追不舍。针对2.5D封装,三星推出的I-Cube封装技术可与台积电CoWoS相抗衡;3D IC技术方面,三星2020年推出X-Cube封装。此外,三星计划在2024年量产可处理比普通凸块更多数据的X-Cube封装技术,并预计2026年推出比X-Cube处理更多数据的无凸块型封装技术。

对此许然认为,三星在2.5D先进封装方面虽已布局多年,但是前道代工业务较弱,在一定程度上影响了其先进封装业务的进展,客户相对较少。不过随着台积电CoWoS短期内难以满足客户需求,三星有希望能接到部分订单,而且它还拥有唯一拥有从存储器、处理器芯片的设计、制造到先进封装业务组合的优势。

以赛亚调研指出,在先进封装领域,目前更加强调的是异构芯片的整合能力。例如,MI300封装将3nm GPU与5nm CPU芯片整合在一块,这种整合能力对于提高芯片性能和效能至关重要。因而,未来的比拼也将围绕这一能力展开。