欢迎访问深圳市中小企业公共服务平台电子信息窗口
英特尔为减少与台积电差距,奋力一搏的技术有多厉害?
2024-05-09 来源:贤集网
719

关键词: 半导体 英特尔 台积电

昔日在半导体界呼风唤雨的老大哥英特尔(Intel),只能站在5或7纳米的位置,眼睁睁看着先进制程的市占率,就这样被台积电的「叠叠乐」(Jenga)蚕食鲸吞,沦落到只能当老二且差距越来越大。但英特尔当然也不是省油的灯,也奋力一搏发展出EMIB(Embedded Multi-die Interconnect Bridge,嵌入式多芯片互连桥接)之2.5D封装技术。



什么是EMIB?

如下图所示,是英特尔官网所提供EMIB先进封装概念之示意图。EMIB的技术特征在于,不论是高频宽记忆体(High Bandwidth Memory, HBM)、CPU/GPU或现场可程式化逻辑闸阵列(Field Programmable Gate Array, FPGA)等,于其逻辑芯片(die)的下方,设置一个硅桥(Silicon Bridge)并将芯片之间予以电性连结,由于芯片之间传导电子的路径缩短,因而得以加快芯片之间的运算效能。此外,EMIB的另一个优点在于,它不需要中介层,所以制程上不仅变简单,而且还可降低制造成本。



根据以上所提到EMIB的技术特征,将其输入到自行开发的AI系统Lupix,并针对近10年的专利数据,扫描出与英特尔的EMIB相关且符合当今具市场价值的已获证专利,我们发现其中很重要之一件专利标题为「针对用于半导体封装硅桥的传导垫层之交替表面」(以下称本专利),其台湾专利号为TWI689072B,而对应的美国专利号为US10177083B2 (Alternative surfaces for conductive pad layers of silicon bridges for semiconductor packages),分别于2020/03/21和2019/01/08获证。AI系统Lupix根据当下的技术演化趋势去做计算,推断出本专利在机电技术领域中,专利价值之PR值(Percentile Rank)为95,也就是说,本专利的价值在机电领域中赢过95 %的相关专利。


EMIB的制造



上图显示了设计在有机封装中的典型EMIB桥的横截面。桥接硅位于封装腔中,如下图所示制作。顶部封装金属层提供了一个参考平面,并带有穿过该平面的通孔,用于连接die和桥。


Ravi表示:“ EMIB工艺建立在标准封装构造流程的基础上,并附加了创建EMIB腔的步骤。桥位于空腔中,并用粘合剂固定在适当的位置。添加最后的介电层和金属堆积层,然后进行通孔钻孔和电镀。”

请注意,在上方的横截面图中,粗孔和细孔分别对应于每个die上存在的两个不同的凸点间距,如下所示。



粗大的凸块用于die到封装的走线层连接,而细间距则与EMIB连接相关联-短期内更多关于目标EMIB连接密度的信息。

Ravi补充说:“进行了大量的工程设计,以定义精细和粗糙的凸点轮廓,这些轮廓将支持管芯附着和通过连接处理。具体而言,这包括重点关注凸块高度控制和焊料量。我们已经与bumping 供应商合作,以实现这种dual pitch和profile configuration。此外,MCP封装中的每个裸片都单独连接-裸片上的凸点将经受多个回流周期。注意与凸块结合的助焊剂材料。还已经开发了在整个凸块区域中提供无空隙的环氧树脂底部填充剂的方法。材料,凸块和附着过程都是在大规模生产中进行的。”


EMIB电气特性

英特尔针对EMIB互连发布了详细的电气分析,评估了各种信号接地屏蔽组合和导线长度的插入损耗和串扰。



上图突出显示了封装中的配电路径(power distribution paths )。请注意,EMIB桥的占位面积小,这意味着I / O信号和电源完整性特性的平衡不会受到影响,这与全硅中介层不同,在硅中介层中,所有信号和电源过孔都必须首先穿过中介层。如前所述,EMIB上方的顶层封装层也用作接地层。

下图显示了电分析结果的示例,描述了针对各种信号屏蔽模式的目标累积海滩前带宽的最大EMIB信号长度。在此示例中,采用了激进的L / S线距设计。使用的电气模型:

一个简单的输出驱动器(R = 50ohms,C = 0.5pF)

无端接的接收器(C = 0.5pF)

四层EMIB金属叠层,介电常数= 4.0

嵌入式桥上方的顶部封装金属平面

1V信号摆幅,具有200mV垂直睁开的接收器灵敏度(为无端接的电容式接收器合并了近端和远端串扰)



EMIB设计服务

由于EMIB设计权衡的复杂性,Ravi表示:“英特尔将与代工客户在产品需求方面紧密合作,并将EMIB设计作为一项服务进行开发。我们将与客户一起在die引出线和凸点图案上进行合作,并提供可满足其数据速率目标的EMIB硅实施方案。”



EMIB未来发展

EMIB技术仍然是英特尔的研发重点。Ravi强调说:“我们将继续致力于提供更大的互连边缘密度,包括更紧密的凸块间距和更积极的线/空间EMIB金属间距(小于1um)。将有源电路集成到EMIB中当然也是可行的。”


其他先进封装技术

一、Foveros


3D封装技术,原理上也不复杂,就是在垂直层面上,一层一层地堆叠独立的模块,类似建摩天大楼一样。

就像大厦需要贯通的管道用于供电供水,Foveros通过复杂的TSV硅穿孔技术,实现垂直层面的互连。

Foveros最早用于Lakefiled处理器,目前正在和EMIB联手用于各类产品,最典型的就是Ponte Vecchio GPU加速器,使用了5种不同工艺、47个不同芯粒。



二、Foveros Omni

下一代封装技术,可实现垂直层面上大芯片、小芯片组合的互连,并将凸点间距继续缩小到25微米。


三、Foveros Direct

使用铜与铜的混合键合,取代会影响数据传输速度的焊接,把凸点间距继续缩小到10微米以下,从而大幅提高芯片互连密度和带宽,并降低电阻。

Foveros Direct还实现了功能单元的分区,使得模块化设计配置灵活、可定制。

2021年底,Intel还展示了最新的混合键合(hybrid bonding),将互连间距继续微缩到惊人的3微米,实现了准单片式的芯片。

也就是说,整合封装后的互联密度、带宽都非常接近传统的单片式芯片,不同芯粒之间连接更加紧密。