欢迎访问深圳市中小企业公共服务平台电子信息窗口
英特尔更新制程路线图,18A将决定翻身仗“生死”
2024-03-14 来源:贤集网
2595

关键词: 英特尔 芯片 晶圆

在2021年时,英特尔公司CEO帕特•基辛格曾表示:“基于英特尔在先进封装领域毋庸置疑的领先性,我们正在加快制程工艺创新的路线图,以确保到2025年制程性能再度领先业界。”而在最近,英特尔更新了制程路线图,包括Intel 14A制程技术、专业节点的演化版本,及全新的英特尔代工先进系统封装及测试(Intel Foundry Advanced System Assembly and Test)能力。那么英特尔的翻盘时间要到了吗?


英特尔更新线路图

英特尔在本次更新的路线图中新增了Intel 14A和数个专业节点的演化版本。英特尔还证实,其“四年五个制程节点”路线图仍在稳步推进,并将在业内率先提供背面供电解决方案。英特尔预计将于2025年通过Intel 18A制程节点重获制程领先性。



对于演化版本,其型号后缀一般包含“E”、“P”或者“T”。其中:

E代表功能扩展(Feature Extension)

P代表性能提升(Performance Improvement)

T代表用于3D堆叠的硅通孔技术(Through-Silicon Vias)

此外,英特尔代工还宣布将FCBGA 2D+纳入英特尔代工先进系统封装及测试(Intel Foundry ASAT)的技术组合之中。这一组合将包括FCBGA 2D、FCBGA 2D+、EMIB、Foveros和Foveros Direct技术。

FCBGA 2D/2D+:倒装芯片球栅阵列(FC-BGA)是比较成熟的封装工艺,英特尔自2016年就进入大批量生产阶段(HVM)。拥有最大的先进热压缩键合(TCB)工具和设备库,具有很好的良率表现;

EMIB 2.5D:嵌入式多芯片互联桥接(EMIB)技术是一种埋入传统有机衬底的2.5D封装工艺,适合逻辑-逻辑芯片或逻辑-HBM存储芯片的互联封装,2017年开始批量生产;

Foveros 2.5D & 3D:Foveros是一种裸片堆叠封装技术,适合PC和边缘处理器芯片的封装设计,2019年开始量产;

EMIB 3.5D:结合EMIB和Foveros(即原来的Co-EMIB),适合多个裸片的3D堆叠封装,Intel数据中心GPU MAX系列SoC即采用这种3.5D封装;

Foveros Direct 3D: Foveros Direct使用了铜与铜的混合键合取代了会影响数据传输速度的焊接,把凸点间距继续降低到10微米以下大幅提高芯片互连密度和带宽,并降低电阻。Foveros Direct还实现了功能单元分区,让模块化设计做到配置灵活、可定制。


押注于Intel 18A

近日,英特尔CEO Pat Gelsinger(帕特·基辛格)接受了Anandtech采访,记者提问称“英特尔整个公司押注下个先进制程是否仍正确”,基辛格回应称,他确实将公司未来都押注于Intel 18A成功,引起现场人员惊讶。

基辛格进一步补充称,“四年五个节点”当中一些制程将会长期与Intel 18A共存,包括仅适用于服务器CPU的Intel 3及Intel 18A升级版。同时还将与高塔半导体和联电合作生产成熟制程,英特尔新墨西哥州新厂还将发力代工先进封装。换句话说,尽管Intel 18A未来可能将会是英特尔代工业务的主要营收来源,但仍会有许多其他营收来源。

虽然目前Intel 18A尚未成功量产,但是在此之前英特尔已经取得了很多进展。比如,2023年4月英特尔宣布与Arm达成协议,将基于Intel 18A打造定制化SoC;2023年7月中旬,英特尔宣布,作为美国国防部“快速保障微电子原型-商业计划(RAMP-C)” 计划第二阶段的一部分,英特尔代工服务事业部将新增两位客户:波音 ( Boeing) 和诺斯罗普·格鲁曼 (Northrop Grumman) ;2023年7月下旬,英特尔又宣布将与瑞典电信设备制造商爱立信合作,将利用其Intel 18A制程为爱立信制造定制 5G SoC(片上系统),为未来其 5G 基础设施打造高度差异化的领先产品;2024年2月22日,在英特尔“ IFS Direct Connect ”活动上,微软宣布计划采用Intel 18A制程节点生产其设计的一款芯片。

另外再之前的2023财年第四季财报会议上,英特尔CEO基辛格透露,Intel 20A和Intel 18A都将在今年就绪,作为首家将GAA晶体管和背面供电技术同时纳入单个工艺制程节点的公司,其背面供电技术(backside power delivery)更是领先竞争对手两年。其中,英特尔首个基于Intel 20A工艺Arrow Lake处理器将于今年推出。Intel 18A预计将在2024年下半年实现制造就绪。目前,英特尔第一个基于Intel 18A工艺的服务器处理器Clearwater Forest已经进入晶圆厂,面向客户端的基于Intel 18A制程的Panther Lake处理器也很快将进入晶圆厂。



相比之下,台积电和三星的2nm制程工艺的量产时间都需要等到2025年,此外,在背面供电技术方面,台积电也要等到2026年量产的N2P制程采用采用。也就是说,最快今年英特尔就将会实现在先进制程上对于台积电的反超。预计英特尔2025年上半年商用的Inte 18A将会进一步扩大领先优势。

此前,基辛格在接受采访时表示,Intel 18A制程性能表现将领先于台积电N2(2nm)制程。虽然Intel 18A制程与台积电N2制程的晶体管(transistor)密度似乎差不多,但英特尔的背面供电技术更加优秀,这让硅芯片拥有更好的面积效率(area efficiency),意味着成本降低,供电较好则代表表现性能更高。不错的晶体管密度、极佳的供电让Intel 18A制程略领先台积电N2。此外,台积电的封装成本非常高,英特尔毛利率有望缓步增加。


独立运营代工业务

英特尔芯片制造的历程颇为坎坷。

此前多年英特尔曾是芯片制造上的王者,凭借在芯片设计与制造的双重领先,在全球PC(个人笔记本电脑)和服务器芯片领域占据统治地位,至今仍有超过七成的市场份额。以英特尔为代表的IDM模式(从设计到生产全流程自主化)也被视为业内主流。

但从约十年前开始,英特尔从10纳米制程工艺起逐渐被台积电、三星超过。IDM模式一度饱受质疑,AMD、IBM等科技公司逐步放弃了自主生产芯片,转而采用更轻资产的Fabless模式(只设计,不生产),这也是高通、苹果、英伟达、华为等企业共同的商业模式。IDM模式只剩下英特尔、三星等为数不多的企业。

有观点认为,英特尔已在制程工艺竞赛中落后于台积电、三星,不必再追赶。但英特尔确实有其优势:它当今半导体行业为数不多的同时具备先进芯片设计、制造能力的企业。为了适应新时代、新形势、新需求的发展,英特尔没有固守以往的模式,也没有简单粗暴地拆分设计与制造,而是提出了全新的IDM 2.0模式。但基辛格反其道而行之,2021年他启动的IDM2.0战略,核心是以合纵连横的姿态,重启晶圆代工业务。

此次英特尔代工的一大变化是,在组织架构上将产品与代工拆分,打破了自家芯片自家造的传统。英特尔芯片可以找台积电代工,英特尔代工也可以生产其他芯片公司的芯片。

英特尔高级副总裁兼代工服务事业部总经理Stu Pann对《财经》记者说,英特尔内部实行了严格的防火墙制度,代工与产品业务将成为两个分离的法律实体,采用两套ERP企业管理系统、两套员工团队。

事实上,Intel公司现在分为两大部分,一是负责产品设计的Intel Product,二是负责代工制造的Intel Foundry。二者相辅相成但又相对独立,财务单独核算,彼此互相激励。

研究公司Creative Strategies首席执行官兼首席分析师Ben Bajarin在接受采访时称,此前英特尔向客户提供代工服务存在两个问题,一是更重视英特尔自身的芯片产品和技术,二是潜在客户会担心英特尔既是供应商又是竞争对手的双重角色。

将组织架构分离,是英特尔吸取教训后作出的调整,目前看来初有成效。据媒体报道,英特尔最早将于今年二季度成为英伟达芯片封装的供应商,预计月产能5000片,约占英伟达订单量的10%。尽管只是封装而不是晶圆生产,这也是两家竞争对手少见的合作。


新赛点出现

Intel代工的目标,是在2030年成为全球规模第二的代工厂,仅次于台积电。

此次英特尔公布的新制程节点14A,将是英特尔能否赶超的关键。与14A制程对应的台积电、三星1.4纳米制程也已在研发中。二者均预测将于2027年前后实现量产,与英特尔的时间表相当。



这项关键性技术需要借助荷兰光刻机公司ASML的High-NA EUV(高数值孔径极紫外光刻),英特尔2023年底就已率先采购。

台积电与三星也将采购这款最新的光刻机设备,但暂未公布时间表。一位芯片设计公司人士对《财经》记者评价,由于High-NA EUV定价高昂,台积电仍在观望中,更倾向于采用低成本的成熟技术。

芯片代工是一个高度集中的寡头市场,台积电与三星两家企业占据过七成的市场份额,留给英特尔的空间是有限的。

第三方研究机构Counterpoint数据显示,2023年三季度,全球半导体代工企业收入市场份额中,台积电以近六成位居第一,三星以13%的市场份额排名第二,联电、格罗方德、中芯国际均以6%左右的份额排名第三至第五。余下代工厂共占10%市场份额。

英特尔与前二者相比还有较大差距。据另一家研究机构Trendforce统计,2023年三季度英特尔在全球晶圆代工行业中首次跻身前十,以1%的市占率排名第九。

以财务指标来看,英特尔代工业务2023年实现营收10亿美元,营业亏损5亿美元。与此同时台积电营收规模为693亿美元,净利润269亿美元。

英特尔代工市场营销副总裁Craig Org告诉《财经》记者,英特尔为外部客户代工芯片的业务仅启动三年,目前仍在设计和产能爬坡阶段,尚未实现量产,因此营收规模有限。只有芯片封装业务已取得可观的实际收益。

尽管营收规模不大,但以技术储备来看,全球具备角逐先进制程实力的公司只剩台积电、三星和英特尔。

既然是定位为“系统级芯片代工厂”,英特尔代工即便积淀深厚,也无法单打独斗,而是与整个产业的众多生态伙伴都密切合作。英特尔官方公布的芯片制造伙伴目前有30多家,包括IP(知识产权)、EDA(电子设计自动化)领域的新思科技、Cadence、西门子、Ansys、Lorentz、是德科技,以及Arm、Rambus等IP厂商。他们对于英特尔的意义在于在各个制程节点上,可以加速基于Intel 18A工艺的先进芯片设计。

Craig Org没有披露英特尔具体的产能及良率。他表示,良率正以越来越快的速度提升,最终将至少达到业内常规或“更好一点”的水平。

基辛格透露,在晶圆制造和先进封装领域,英特尔代工的预期交易价值在很短的时间内从40亿美元提升至100亿美元,如今已超过150亿美元。如果以2030年前成为全球第二大代工厂来看,英特尔的目标是1000亿美元。