欢迎访问深圳市中小企业公共服务平台电子信息窗口
抢设备、抢技术,半导体巨头打响先进制程大战,从2到1不简单
2023-12-26 来源:贤集网
277

关键词: 人工智能 晶圆 芯片

相比成熟制程,近年随着AI、数据中心等应用驱动,先进制程成为了业界“香饽饽”。细观晶圆代工产业链动态,从研发、争抢先进设备、再到抢单,台积电、三星、英特尔等大厂动作不断,同时新军Rapidus正强势入局,可见先进制程之战已悄然打响,并愈演愈烈。


从抢单开始,2nm战况如何?

随着GPU、CPU等高性能芯片不断对芯片制程提出了更高的要求,突破先进制程技术壁垒已是业界的共同目标。目前放眼全球,掌握先进制程技术的企业主要为台积电、三星、英特尔等大厂。



据TrendForce集邦咨询12月6日研究显示,2023年第三季全球前十大晶圆代工市场中,台积电以57.9%的市占率占据全球第一的位置,而三星以12.4的市占率位居全球第二,英特尔(IFS)位居全球第九位,市占率为1%。

多年来,台积电一直是晶圆代工产业的半壁江山,同时还手握着英伟达、苹果、高通等下游厂商多数订单,并成为人工智能芯片供应商的主要纯晶圆代工合作伙伴。其中,按英伟达此前披露的营收计算,台积电是全球最大的芯片代工制造商,也是英伟达高性能AI芯片的独家生产商。

不过,业界推测,接下来英特尔或将打破当下台积电独家代工英伟达高性能AI芯片的状况。英伟达首席财务官柯蕾丝(Colette Kress)近日在参加瑞银全球科技大会时暗示,英伟达不排除增加英特尔代工(IFS)作为其晶圆代工供应商,生产新一代芯片。

而近期台积电和三星也是动作频频。台积电先是向苹果和英伟达展示产品测试结果,后又拿下了苹果订单。据英国金融时报引述知情人士透露,台积电已向苹果和英伟达等大客户展示N2(即2nm)原型的制程工艺测试结果。

另据中国台湾工商时报报道,在全球芯片大厂争相发展2纳米制程之际,台积电再度胜出抢下苹果订单,预计2025年上市的iPhone 17 Pro将率先采用台积电2纳米芯片。

此外,近日台媒引述消息人士称,英伟达已向台积电下单销往中国大陆的人工智能处理器,这些订单是SHR (Super Hot Run,超级急件),计划于2024年第一季度开始履行。

三星方面,消息称三星已拿到了高通的订单,高通已计划下一代高端手机芯片采用三星SF2(2nm)制程生产。同时,三星将推出2nm原型,并开出折扣价,以吸引英伟达等客户。

针对三星在2nm制程采降价抢单的传闻,台积电董事长刘德音向业界表示“客户还是看技术的质量”,透露出对台积电先进制程技术与良率优势的信心。

据业内人士透露台积电即将敲定其未来3nm和2nm客户,客户不太可能转移订单。除了苹果之外,AMD、英伟达、博通、联发科和高通也是台积电3nm和2nm芯片的客户。这些主要客户不太可能在2027年之前减少台积电3nm和2nm晶圆的开工量。


2nm战火,先从30亿的光刻机烧起

全球2nm以下制程的硝烟,如今从最核心的元器件光刻机开始烧起——光刻机,可以说是芯片制程向上攀登的阿喀琉斯之踵。

光刻机本质上是一个投影系统,作用是将所要打印的芯片蓝图收缩、聚焦到硅片上。说起来容易,做起来却很难,ASML的光刻机此前一直在先进制程的演进中扮演着相当重要的作用。

正如2017年7nm芯片量产之时,行业内摩尔定律终结的声音不绝于耳,但 ASML掏出的EUV光刻机使得芯片突破了物理极限的限制,硬生生又给摩尔定律又续了十年命。到了如今2nm的节点,ASML依旧发挥着救火作用。

今年年初,ASML给行业释放了一则好消息,他们收到了供应商提供的第一个高数值孔径机械投影光学器件、照明器、晶圆载物台等一系列设备——翻译过来就是,这些模块能帮助ASML交付适用于2nm芯片的高数值孔径(High-NA) EUV光刻机。

未来若落地,这种光刻机不管是体积还是成本,都相当恐怖——ASML方面曾称,它大概有一台卡车那么庞大,每台的成本超过了3亿欧元(折合24亿元)。

这并没有阻碍芯片巨头们的抢购热情。此前据Digitimes消息,ASML在2024年只计划生产10台2nm设备,但当中的6台已经被手快的英特尔提前锁定。

问题是,各家的2nm制程量产时间基本锁定在2025年,如果消息成真,意味着ASML能匀给台积电和三星的光刻机数量已经不多。



焦虑的三星,直接请出了韩国总统出手。12月初,三星社长李在镕紧急去了一趟荷兰拜访ASML,还拉上了韩国总统尹锡悦同行。两家公司签署了一份历史性的协议,将共同出资7亿美元(折合人民币56亿元),在韩国投建工厂。

共同建厂实现利益绑定只是幌子,三星醉翁之意是,希望从ASML那获得更多的高数值孔径EUV光刻机。

芯片行业苦光刻机久矣,先进制程攀登的过程,行业中也出现了下一代光刻机技术的先锋探索。在今年10月份,佳能就号称通过纳米压印(NIL),将有可能承担2nm芯片制程的生产。

纳米压印完全是和光刻完全不同的技术路线——如前文所说,光刻更像“投影”,那么纳米压可以用“盖印”来比喻。

根据佳能方面的说法,他们先将掩摸压到晶圆上,掩模的作用有点像”印泥“,用来复制转移电路。随后,再向上喷墨,把掩模印在新的晶圆上进行曝光。

如果能够实现,对于行业这将会是一个巨大的冲击。纳米压印的技术优势更大,不仅设备更小、功耗更低,光源也更便宜,造价更低。

佳能的美好畅想足够抓人,但最后能否落地还需要时间考验。2nm制程的竞争,不仅仅是芯片厂商的斗争那么简单,上游同样焦灼。


2nm决战各类技术革新

要制造出2nm芯片,芯片厂商还在持续挑战更先进的芯片技术。GAA(Gate All Around,全环栅型晶体管技术)是当前一项核心科技。

芯片里面的晶体管,可以拆解为三个模块:源极、栅极、漏极——电离子从源极出发、穿越栅极、进入漏极,构成一个完整回路。栅极,可以简单想象成晶体管与晶体管之间的一个门、一块隔板,起到了把控电离子流向的作用。

在7nm的时代,行业中一般采用FinFET工艺。在这个工艺下,源极和漏极被做成了一整片“鱼鳍”的样子,直直穿过栅极。这样,栅极才能牢牢把控电流流向。

只是,这种工艺在5nm以下先进制程中面临失效——当芯片制程越来越低,一个芯片里面挤入的晶体管越来越多,留给芯片内栅极的空间注定压缩,变小变薄,这样就会导致“门”关得不紧,电子出现逸散。

GAA正是比FinFET更进了一步。由于栅极变小失去了“包裹性”,芯片厂商们索性把漏极和源极又换了个形态,变成了一根根纳米片小棍子,垂直穿过栅极——这样,小栅极也能全面包裹栅极、漏极,保证电子不再逸散。

此外,三星还曾经提出了竖向构建的“VTFET”方式,和此前平着放的芯片不一样,这种设计思路下的晶体管是垂直构造的,但这些都还在试验阶段。

当然,2nm的技术挑战不仅于此,除了GAA技术之外,另一项技术也相当关键。随着半导体工艺微缩路线不断地向前发展,集成电路内电路与电路间的距离也不断缩窄,也会对彼此产生干扰。而为了纳米片电晶体管提供足够的电能,避免漏电损耗,台积电、三星、英特尔的2nm制程,纷纷用上了背面配电线路技术。

随着芯片向2nm以下攀登,各类技术创新闪烁。只是,目前看来2nm的投入产出比并不高——当芯片向2nm以下的制程攀登,投入呈指数级态势狂奔,仅仅购入一台光刻机就要几十亿的投入,芯片设计的成本更是高达50亿元以上。

但从更长远的角度,2nm制程的突破,意义深远。



2nm未见果,1.8/1.4nm现身影

今年,先进制程动态不断,尤其是3nm、2nm等最先进制程。从共同点来讲,大厂们的目标无非是为了突破芯片技术壁垒,占领新技术高地,从而拿下更多市场份额。

此前晶圆代工厂商2nm以下制程的研发时间线曝光于公众视野时,就曾引起轰动,业界激烈讨论的重点仍是,该技术的进步是否能带来更好的性能和良率等问题,而也正因此2nm以下制程技术的热度一直居高不下。

笔者针对台积电、英特尔、三星、Rapidus芯片制程研发进行了最新跟踪:台积电1.4nm开发顺利;英特尔1.8nm 18A工艺研发完成;三星计划于2027年进入1.4nm半导体制程技术领域;Rapidus正在构建1nm芯片产品的供应体制。

台积电在IEEE国际电子器件会议(IEDM)的“逻辑的未来”小组上透露,台积电1.4nm级制造技术的开发进展顺利进行。据SemiAnalysis的Dylan Patel发布的幻灯片指示,台积电的1.4nm生产节点正式命名为A14。不过关于A14量产时程及其规格,台积电暂未披露更多信息,但鉴于N2计划于2025年末、N2P计划于2026年末,业界猜测A14会在此之后2027-2028年间推出。

关于台积电是否会采用垂直堆叠互补场效应晶体管(CEFT)结构,或是沿用2nm制程将采用的环绕栅极场效应晶体管(GAAFET),以及台积电是否会在2027年至2028年期间为其A14工艺技术采用高数值孔径EUV(High NA EUV)光刻机,目前仍尚不清楚。

英特尔方面,英特尔CEO帕特·基辛格在Intel Innovation Day论坛表示,Intel 18A制程目前有许多测试晶圆正在生产中,这一技术已经研发完成,正加速进入生产阶段。

据介绍,Intel 18A节点(1.8nm)由于尺寸进一步缩小,需采用RibbonFET晶体管,使用GAA全环绕栅极架构,类似多片纳米片堆叠在一起,这样不仅能够缩小尺寸,而且栅极能够更好地控制电流的流通,同时在任意电压下提供更强的驱动电流,让晶体管开关的速度更快,从而提升晶体管的性能。

基辛格表示,英特尔定下的“4年推进5代制程”目标正在稳步实现,希望在2025年重新夺回半导体生产领导者地位,超越台积电、三星。

英特尔称Intel 18A制程不会仅供内部使用,未来也将为爱立信等外部客户代工晶圆。此前基辛格于10月末透露,Intel 18A制程已于Q3敲定了三家晶圆代工客户,预计年底有望签下第四家。此外,用于下一代服务器、PC处理器的Intel 3制程技术,目前正在“除错”阶段,预计2024年即可投产。

从英特尔制程研发路线看,Intel 7制程技术已大量生产,Intel 4制程也已经量产,Intel 3制程准备开始量产,Intel 20A制程将如期于2024年量产,Intel 18A制程将是5代制程目标的终极制程,已确定相关设计规则,将于明年下半年量产。

三星方面,一直以来,三星在努力确保能采购更多EUV光刻机,目标是希望能在2024年上半年进入第二代3纳米制程技术,在2025年年底前推出2nm制程,2027年年底之前推出1.4nm制程。

三星是首家跨入并转型环绕式栅极(GAA)晶体管架构的公司,三星希望从SF3进展至SF2会相对流畅。三星旗下晶圆代工部门Samsung Foundry首席技术官Jeong Ki-tae表示,GAA结构晶体管是一项可持续的技术,此前的FinFET鳍式晶体管很难进一步改进。他还透露,三星正在与大客户就即将推出的2nm、1.4nm制程工艺进行谈判。

Rapidus方面,据报道,Rapidus、东京大学与法国半导体研究机构Leti合作,将研发1nm级别芯片设计基础技术,将在2024年开展人才交流、技术共享。Rapidus将利用Leti的技术,构建1nm芯片产品的供应体制。

报道指出,他们的共同目标是确立设计开发线宽为1.4nm——1nm半导体所需的基础技术。这一节点需要与传统不同的晶体管结构,Leti在该领域的成膜等关键技术上占优。



结语

在2nm及以下先进制程的竞争中,虽然研发最终成果还未具体披露,但从抢单、购买先进设备等动作也可见,各大厂已经在为日后未雨绸缪。丛上述披露的时间线来看,针对2nm制程的研发答案将于2025年揭晓,但更先进制程的战斗仍在继续,未来技术如何演变?我们拭目以待。