欢迎访问深圳市中小企业公共服务平台电子信息窗口
英特尔霸气官宣,这次吹下的“牛”能否先台积电一步实现?
2024-03-06 来源:贤集网
2205

关键词: 英特尔 芯片 晶圆

近,Intel消息频传:

Intel 3 准备就绪;

18A 节点拿下微软大单;

3 年后重回全球晶圆代工技术领导者;

2030 年成为全球第二代晶圆代工厂;

……

英特尔的伟大转型似乎走到了一个新的关键节点。在刚刚结束不久的直面会上,「蓝色巨人」英特尔宣告英特尔代工服务部门 IFS(Intel Foundry Services)正式更名 Intel Foundry,与此同时还全面更新了路线图,包括英特尔 14A(1.4nm)工艺的首次亮相。



作为 IDM 2.0 最核心的环节,「晶圆代工」意味着英特尔不再只为自身制造芯片,开始像台积电一样,为其他芯片设计公司以及英特尔的产品业务部门等客户制造芯片,这也倒逼英特尔在芯片制造工艺上加速追赶台积电、三星等技术领导者。

在此之前,英特尔原先的 IDM(垂直整合制造)模式已经无以为继:芯片制造工艺上的技术路线误判,造成了制程上的全面落后,在 14nm 上耗费了太多时间和精力,同时也影响到了其产品被苹果弃用、被 AMD 超越,甚至被消费市场广泛调侃为「牙膏厂」。

不过在 IDM 2.0 转型将近三年后的今天,很多事情都发生了变化,尤其是新一轮的生成式人工智能浪潮,以及晶圆代工从芯片的制造环节越来越多延伸到封装等环节的趋势。正如英特尔现任 CEO 帕特·基辛格说的:

这是英特尔代工厂发展的关键时刻。我们看到了重新定位英特尔系统代工厂的机会。


扩展「四年计划」,英特尔工艺路线图再刷新

按照基辛格在 2021 年制定的计划,英特尔将在 4 年内更新 5 个工艺节点。在 Intel 7 之后,Intel 4 已经在最新的酷睿 Ultra 移动处理器上落地,官方宣称其代表着英特尔 40 年来最重大的架构变革。

另一边,Intel 3(名义上是 3nm)已经准备就绪,英特尔去年 7 月就宣布了 Intel 3 工艺在产能和性能方面达标,将于 2024 年发布的两款至强处理器——Granite Rapids 和 Sierra Forest(分属 P-Core 和 E-Core 产品线)都将采用 Intel 3 工艺进行制造。

作为英特尔的第一个大批量 EUV(极紫外)节点,Intel 3 还将在未来几年推出不同版本,包括将于今年推出支持硅通孔(TSV)的 Intel 3-T、将于 2025 年推出功能扩展的 Intel 3-E 以及更之后基于第二代 TSV 技术带来更高性能的 Intel 3-PT。

再之后,英特尔还将陆续正式推出采用 PowerVia 背面供电技术的 20A(2nm)、18A(1.8nm)工艺。

20A 节点命运多舛。原本英特尔 Arrow Lake 以及高通都计划采用 20A 工艺,但后续不断有消息指出,Arrow Lake 已经转向台积电 3nm。包括基辛格刚刚也证实,即将推出的两款处理器均将采用台积电 3nm 工艺制造。

而根据天风国际分析师郭明錤去年的一份报告,高通也早已停止开发 Intel 20A 芯片。同时还传出 20A 工艺将仅供英特尔产品部门采用,不会主动面向第三方客户提供。在英特尔最新的路线图中,也没有推出 20A 后续工艺版本的任何计划,在某种程度也佐证了之前的传闻。



相比之下,18A 则受到越来越多的重视。不仅是得到了新思科技(EDA 巨头)、ARM 等半导体生态厂商的广泛支持,也有越来越多芯片设计公司的「青睐」,微软 CEO 萨蒂亚·纳德拉 (Satya Nadella)也在这次直面会上官宣:

微软将基于 Intel 18A 工艺制造芯片。

微软没有明说这款芯片的详情,但就在去年末,微软刚刚发布了两款与人工智能息息相关的自研芯片—— Maia 100 AI 芯片和 Cobalt 100 CPU。如果不出意外,就是这两款芯片将采用英特尔最新的 18A 工艺。

此外,英特尔在最近刚刚完成了 18A 主要产品 Clearwater Forest 的流片,后续还计划推出性能提升的 18A-P 节点。

而在这版路线图中,除了一系列迭代版本的增加,最值得关注的部分还是首次公布了 14A(1.4nm)及其迭代版本 14A-E,这将是英特尔真正重回全球晶圆代工技术领导者的关键。


2nm市况激烈!

国际上布局先进制程的厂商主要是台积电、三星、英特尔,以及日本新创公司Rapidus,当前2nm是晶圆代工先进制程领域的主战场,多家厂商2nm芯片即将在2025年亮相,目前厂商正积极争取订单。

三星在近日的2023年第四季的财报中公告显示,其晶圆代工部门已得到一份2纳米AI芯片的订单。而且,针对该订单还包括配套的HBM内存和先进封装服务。

据悉,三星2nm制程的SF2制程计划于2025年推出,其较第二代3GAP的3纳米制程技术,可在相同的频率和复杂度下,提高25%的功耗效率,以及在相同的功耗和复杂度下提高12%的性能,并且减少5%的芯片面积。

其他厂商方面,媒体报道苹果将成为台积电2纳米制程技术的首家客户,英特尔Intel 18A制程技术则收获了爱立信的5G基础设施芯片订单。

至于Rapidus,作为新创公司,其2nm量产时间相对较晚,不过该公司亦在积极发力。此前媒体报道Rapidus社长小池淳义对外透露,Rapidus 2nm芯片厂兴建工程顺利,试产产线将按计划在2025年4月启用。同时,Rapidus未来考虑兴建第2座、第3座厂房。


台积电2030年实现1nm工艺

去年末,台积电(TSMC)在IEEE国际电子元件会议(IEDM 2023)上透露,其1.4nm制程节点的研发工作已全面展开,进展顺利。这是台积电首次对外披露其1.4nm制程节点的开发情况,对应工艺的正式名称为“A14”,至于工艺的具体规格和量产时间,暂时还不清楚。



台积电的2nm工艺计划在明年末量产,1.4nm工艺的推出时间大概在2027年至2028年之间。不过据UDN的最新报道,台积电已经在为更遥远的1nm工艺生产做规划,将是首家准备1nm工艺的代工厂,这让半导体竞争变得更加激烈、有趣。

此前台积电在IEDM 2023上分享了部分信息,1nm工艺大概要等到2030年,正式名称为“A10”。随着包括CoWoS、InFO和SoIC等封装技术的进步,台积电预计2030年左右可以打造万亿级晶体管的芯片。台积电采用的方法与英特尔比较相似,问题在于如何实现这一目标,最近半导体行业一直被收益率和产能所困扰。

据称,台积电的1nm工艺将是一个昂贵的计划,预计总开发成本超过了320亿美元。台积电也会为1nm工艺新建一座晶圆厂,地点在中国台湾南部的嘉义县,总面积超过了100公顷,同时会按照60/40的比例划分,以同时满足半导体制造和封装的需求。

虽然先进工艺的开发难度越来越大,投入越来越高,不过台积电并没有停止前进的步伐,除了1nm工厂,预计还会建造多座2nm工厂。